2011-07-28

Mentor offers platform for AMS, cell developers

Mentor Graphics has augmented the IC Station tool suite withthe release of Pyxis, a custom router, cockpit, and data-interchange tool foranalog, mixed-signal, and digital cell designs. The platform, optimized for AMSand cell designers, gathers data management, design entry, simulation control,visualization, and layout editing tools.

At its heart, Pyxis includes the custom router for which theplatform is named: the highly regarded hierarchical custom router Mentoracquired with its purchase of Pyxis Technology. Mentor claims for the newrouter digital-router speed, and the ability to propagate constraints throughthe design from transistor to cell, block, and full-chip levels.

The ability to move between levels can be vital, accordingto Tom Daspit, Mentor product line manager. In designs such as an integratedcamera SOC, where there are digital cells, analog circuits, and a large sensorarray that blocks routing, the ability to move quickly between levels ofhierarchy can be vital, Daspit explained.

Further, Daspit pointed to Pyxis' facility with designs thatrequire large numbers of power domains. Taken together, Mentor said, thesecapabilities allow fast iterative layout and analysis of AMS designs anddigital cells, allowing a degree of exploration within a DRC-clean envelopethat was hitherto impractical.

Another platform feature aimed at productivity is concurrentaccess, including concurrent access by multiple engineers to the same cell.Pyxis allows multiple designers to define non-overlapping fences, and to workconcurrently, each designer within his or her own fence. In this mode,designers have the ability to mark up a shared view of the design on a virtualwhiteboard, and to message within the environment. This feature should allowlarge AMS designs or cell-library efforts to be partitioned across a globaldesign team, reducing schedules and turn-around on ECOs.

That is, it should work if access/revision control and humanmanagement are up to the task. This is an interesting reservation becausedependency analysis, fundamental to revision control, is a non-trivial exercisein large AMS designs. Often analog links such as capacitive coupling arevisible only at more detailed levels of abstraction, and so designers workingat higher levels may be unaware that they have made changes that will influenceareas outside their fence. "Some analog design teams will put these links inexplicitly in the schematics, and that can make recognizing dependenciessimpler," Daspit said. "That is not something that digital designers typicallydo today."

Of equal interest is the close integration between Pyxis andMentor's other heavyweight tools, the Eldo and Questa simulators and theCalibre physical-design system. In particular, Pyxis can invoke a CalibreRealtime run to provide dynamic, as-you-go DRC on an evolving layout. There isalso a link to the Calibre 2.5D extraction tool. And while Mentor continues touse its own proprietary design database, Pyxis can exchange data with anOpenAccess database through import/export utilities.

Mentor says Pyxis is available now.
Mentor offers platform for AMS, cell developers

No comments:

Post a Comment